By Walden Rhines, CEO & Chairman of the Board of Directors, Mentor Graphics

Twenty years ago marked the end of the first decade of tumultuous evolution for the EDA, personal computer and fabless semiconductor industries. Each had reached a level of equilibrium that promised ongoing, stable growth.  Daisy, Mentor and Valid were all founded in 1981 and by 1984 had been joined by many others including Solomon Design Associates, or SDA (1983) and ECAD (1982) which merged to form Cadence in 1988. About the same time, Synopsys was founded in 1986. Introduction of the IBM PC in 1981 triggered a standardization wave that spawned dozens of semiconductor manufacturers including some of the first fabless companies, like Cirrus Logic (1981) and Chips and Technologies (1984), and gave Intel the focus that made them the largest overall semiconductor company.  By 1994, the EDA industry, personal computers and the fabless model were positioned for revenue growth, ushering in the next decade as well as the dot-com boom.

While that first decade was dominated by challenges of designing and manufacturing personal computer chips, the next decade was increasingly focused on wireless communications.  During the first decade, computing consumed nearly 3X the amount of the semiconductors that communications consumed.  During the period 1994 through 2014, that ratio changed dramatically and communications climbed to equal computing for semiconductor consumption, at about 37 percent for each (Figure 1).

Figure 1: In less than 20 years, computers went from dominating IC production to being on par with communication ICs.

Figure 1: In less than 20 years, computers went from dominating IC production to being on par with communication ICs.

In the period from 1984 to 1994, Taiwan became the aggressive pioneer in both PC manufacturing and fabless semiconductor production. In the most recent two decades, China and Korea have dramatically increased their importance in semiconductors with two thirds of the DRAM memories designed and manufactured in Korea and 57 percent of the world’s semiconductors “consumed” in China in 2013 (mostly for products that are re-exported).  By 2013, 39 percent of all semiconductor revenue was produced in Korea and Taiwan by companies headquartered in those countries.  Despite this geographic transition, EDA remained a U.S. based industry with more than 90 percent of worldwide revenue coming from U.S. based companies.

What makes the period from 1994 to 2014 so unique is that, by 1994, the foundation had been laid for a new wave of innovation, enabling independent entrepreneurs to take the semiconductor industry to the next level of specialization. The first fifty years were dominated by integrated device manufacturers (IDMs) who differentiated themselves at all levels of semiconductor creation — product definition, design, manufacturing and distribution.  They defined their own products, developed their own design tools, operated their own wafer fabs and assembled and tested their own products. By 1994, when FSA (the precursor to GSA) was established, there were viable, cost-effective alternatives to IDMs that had specialized in design automation and manufacturing so that thousands of innovative product ideas could pour forth.  And they did.

Although semiconductor foundries (other than IDMs who provided foundry services) had historically offered manufacturing design rules that were two generations behind the leading-edge IDM’s, by 1994  it was clear that the gap was closing at 0.35 microns.  Up until then, designers were forced to use whatever design tools their IDM companies provided, but by 1994 the whole semiconductor industry was converting to third-party EDA tools and technologies. Most importantly, by 1994 the ecosystem existed for those with system expertise in emerging fields to turn their ideas into silicon and grow chip companies.  So, unlike the PC industry which grew in its early years based upon the semiconductor strength of the IDM, the wireless industry developed with new, fabless entrants like Qualcomm (1985) who, by 1994 had a stable design and manufacturing ecosystem on which to build their ideas.

New innovations were possible because thousands of independent innovative people had access to the magic of silicon through foundries and independent EDA.  It should not be surprising that the semiconductor company with the largest revenue in the wireless industry today is a fabless one, Qualcomm, who utilizes the entire semiconductor ecosystem to achieve what previously was only possible for an IDM to accomplish.

Beyond reflecting on the achievements made in the transition of the last 20 years, it’s interesting to look at some unexpected trends that changed the trajectory of this evolution.

Design rule “standardization”

In 1994, every IDM, as well as every silicon foundry, had its own unique design rules for each process node.  In addition, these design rules were considered proprietary so a foundry customer received the details only by engaging with the foundry as a potential customer.  TSMC did something very unique.  Because TSMC was struggling with trying to produce products that were designed to be manufactured in someone else’s wafer fab, they set about trying to be the foundry of choice for the first design.  That way, other foundries could struggle with how to produce a design developed to be run at TSMC.  Effectively, TSMC design rules became open source and VLSI Technology, which had created the Passport Library as a standard library, gravitated to design rules and models close to the TSMC process.  Soon customers were designing their new chips using the Passport library and other foundries began tuning their processes to match the characteristics achieved with the TSMC process.  This created a big advantage for TSMC while helping to pull the entire fabless manufacturing industry into a degree of commonality which benefited designers.

Consolidation/Deconsolidation

During much of the twenty year period, the number of fabless semiconductor companies grew rapidly, gaining market share from the established OEMs and effectively “deconsolidating” the semiconductor industry into companies, like Qualcomm and Broadcom, that focused on new product specializations.  At the same time, natural consolidation occurred in those parts of the semiconductor industry that relied on scale as their primary leverage.  At the beginning of the period, no single company yet dominated each major sector, such as test equipment, photolithographic equipment, etc.  However, by the end of the twenty years, the concentration had increased dramatically.  The same was true for the silicon foundry and the memory suppliers, where consolidation squeezed the field down to just a few in each, with one company more dominant than the others.  This provided substantial efficiencies that helped keep cost per transistor on the long-term learning curve.

EDA had always had a structure of three big companies plus hundreds of small ones (e.g. Computervision/Calma/Applicon, then Daisy/Mentor/Valid, then Mentor/Cadence/Synopsys) but during the twenty year period, things stabilized further.  The combined market share of the three largest EDA companies achieved and maintained a 75 percent market share, plus or minus nine percentage points with a standard deviation of 4 percent, throughout the period (Figure 2).

Mentor_fig2

Figure 2: EDA market share has been remarkably stable in the past 15 years.

What might these changes tell us about the next twenty years?

While future discontinuities of an industry are much harder to predict than its natural evolution, here are two possibilities similar to the ones just mentioned.

  1. A return to multi-sourcing?

Before the days of ASIC, microprocessors and SoCs, semiconductor customers required suppliers to have an alternate source for most components before they would commit a part to a design.  Without pin compatibility, and with expansion of copyright law to semiconductors with the Semiconductor Chip Protection Act of 1984, this requirement disappeared, except for memory components. Semiconductor suppliers were glad to see it go, since it created pricing pressure and tended to commoditize components.  The recent announcement by Samsung and Global Foundries that they will support identical 14nm processes is a reminiscent twist on this theme. While this would suggest more pricing pressure in the foundry industry, it should also create greater efficiencies due to the reduction in R&D per capital dollar of capacity investment. In addition, it should lead to more uniform loading of larger amounts of capacity, as customers shift loadings from one supplier to the other to optimize their cost, while the foundry manufacturers vary their wafer pricing to optimize loading.

  1. Continuation of the reduction in semiconductor cost without Moore’s Law?

Gordon Moore has said that “no exponential is forever” and so Moore’s Law will pass into history. But the fundamental basis that created Moore’s Law won’t go away.  The cost per ‘switch’ (transistor) will continue decline with cumulative volume produced because of the learning curve.  But how can that happen when the cost of processing a wafer is being driven up by double and triple patterning, and other costs, faster than the increase in transistors per unit area?  The discrepancy may lie in the assumption that foundry wafer prices are the driving force for reduction in the cost per transistor.  Amazingly, approximately 99.5 percent of all transistors produced today are memory transistors, not including memories in embedded SoCs and other logic devices.  The opportunity to increase transistors per unit area of silicon (and bits per unit area) is likely much more cost effective for memory than for logic. Structures like the ReRAM, as well as technologies like spintronics and others, offer opportunities for area density improvements over the next twenty years (Figure 3).

It’s been a remarkable twenty year period in the evolution of the semiconductor industry. And the growth of GSA, first built around the transition to the fabless manufacturing model, and then around the whole ecosystem, has been extraordinary as well.  The number of diverse opportunities offered by the Internet of Things for growth in the volume and value of semiconductors in the future is compelling.